xilinx based projects and papers








An evolvable hardware system in Xilinx Virtex II Pro FPGA
free download

In this paper, a new circuit architecture for image filter evolution is proposed. The evolvable system is based on the implementation of a search algorithm in the PowerPC processor which is available in Xilinx Virtex II Pro Field Programmable Gate Arrays (FPGAs). 

Xilinx stacked silicon interconnect technology delivers breakthrough fpga capacity, bandwidth, and power efficiency
free download

As the role of the FPGA becomes more dominant in system design, the designs grow larger and more complex, demanding higher logic capacity and more on-chip resources. To date, FPGAs have depended predominantly on Moore's Law to respond to this need, delivering 

Exploitation of Run-Time Partial Reconfiguration for Dynamic Power Management in XilinxSpartan III-based Systems.
free download

ABSTRACT Reconfigurable architectures such as FPGAs are a key technology for implementing self-adaptive and flexible systems, since the possibility for dynamic and partial hardware reconfiguration offers a higher degree of freedom in the resource allocation. However, for 

BIST for Xilinx 4000 and Spartan series FPGAs: a case study
free download

ABSTRACT We discuss the development of Built-In Self-Test (BIST) configurations that test all of the programmable logic and interconnect resources in the core of Xilinx 4000E, 4000XL/XLA and Spartan series Field Programmable Gate Arrays (FPGAs). While there 

Xilinx FPGA configuration data compression and decompression
free download

is a dictionary-based text compression scheme developed by Abraham Lempel and Jacob Ziv in 1977. The scheme works by defining a fixed-size dictionary to hold bytes from an input source (eg, a file), and then referring to the dictionary when compressing the 

Xilinx takes power analysis to new levels with xpower
free download

XPower accepts your post-route netlist (NCD) and physical constraints file (PCF) to determine the interconnect, I/O, and clock information of your design. With this information, XPower builds a hierarchical representation of your design–broken out by signals, clocks, 

Design of a Reed-Solomon Decoder using Partial Dynamic Reconfiguration of XILINX Virtex FPGAs-A Case Study
free download

ABSTRACT Reconfigurable hardware is a promising technology which could help to bridge the flexibility gap between application-specific ASICs and general-purpose microprocessors . Although SRAM based FPGAs such as the XILINX VIRTEX family which feature partial run 

Comparing Hardware Performance of Round 3 SHA-3 Candidates using Multiple Hardware Architectures in Xilinx and Altera FPGAs
free download

ABSTRACT In this paper we present a comprehensive comparison of all Round 3 SHA-3 candidates and the current standard SHA-2 from the point of view of hardware performance in modern FPGAs. Each algorithm is implemented using multiple architectures based on 

Xilinx Inc
free download

The XtremeDSP™ Development Kit–Virtex SXT FPGA Edition includes hardware, design tools, IP, and preverified reference designs that can rapidly accelerate the development of your next DSP application. This unique combination of design technologies enables 

Xilinx stacked silicon interconnect technology delivers breakthrough FPGA capacity, bandwidth, and power efficiency
free download

As the role of the FPGA becomes more dominant in system design, the designs grow larger and more complex, demanding higher logic capacity and more on-chip resources. To date, FPGAs have depended predominantly on Moore's Law to respond to this need, delivering 

Software-compiled system design optimizes Xilinx programmable systems
free download

A remedy for this headache is the synergy of software-compiled system design and programmable systems technology. Softwarecompiled system design is a methodology that provides a seamless bridge between hardware and software (Figure 1). It enables the 

Using a microprocessor to configure Xilinx FPGAs via slave serial or SelectMap mode
free download

Summary With embedded systems becoming more popular, many designers want to reduce their component count and increase flexibility. To accomplish both of these goals, a microprocessor can be used to configure an FPGA. This application note provides a 

Designing Partial and Dynamically Reconfigurable Applications on Xilinx Virtex-II FPGAs using Handel-C
free download

ABSTRACT In this paper we address the problem of designing partial reconfigurable application using the Handel-C language. We address the main aspects of partial reconfiguration on the Xilinx Virtex FPGA and explain how to deal with Handel-C designs. 

Get RealFast RTOS with Xilinx FPGAs
free download

Why implement an operating system in hardware? Is it not better to have the operating system in software as we are used to? Well, who would have imagined in the mid-1980s that mathematical operations would be performed by hardware in the CPU instead of software 

Comprehensive Evaluation of High-Speed and Medium-Speed Implementations of Five SHA-3 Finalists Using Xilinx and Altera FPGAs.
free download

ABSTRACT In this paper we present a comprehensive comparison of all Round 3 SHA-3 candidates and the current standard SHA-2 from the point of view of hardware performance in modern FPGAs. Each algorithm is implemented using multiple architectures based on 

Xilinx FPGAs overcome the side effects of sub-90 nm technology
free download

Summary In this paper, we discussed soft errors, hot carrier injection (HCI), time dependent dielectric breakdown (TDDB), negative bias temperature instability (NBTI), and latchup in the 40 nm and smaller device-technology nodes. All of these issues need some kind of 

FPGA implementation AES for CCM mode encryption using Xilinx Spartan-II
free download

ABSTRACT This paper discusses a possible FPGA implementa-tion of the AES algorithm specifically for the use in CCM Mode Encryption. CCM Mode encryption is a proposed standard to be used and the security backbone behind the new IEEE Std. 802.11 i. CCM 

An Introduction to Radiation-Induced Failure Modes and Related Mitigation Methods For XilinxSRAM FPGAs.
free download

ABSTRACT Using reconfigurable, static random-access memory (SRAM) based field- programmable gate arrays (FP-GAs) for space-based computation has been an exciting area of research for the past decade. In comparison with traditional radiation-hardened 

Memory interfaces made easy with xilinx fpgas and the memory interface generator
free download

In the late 1990s, memory interfaces evolved from single-data-rate (SDR) SDRAMs to double-data-rate (DDR) SDRAMs, with today's DDR2 SDRAMs running at 667 Mb/s per pin or higher. Present trends indicate that these rates are likely to double every four years, 

Single-Event Upset Mitigation for Xilinx FPGA Block Memories
free download

Summary Orbital, space-based, and extra-terrestrial applications are susceptible to the effects of highenergy charged particles. If of sufficient energy, these particles can cause single-event upsets (SEUs), possibly altering the logic state of any static memory element 

Radiation-induced multi-bit upsets in Xilinx SRAM-based FPGAs
free download

ABSTRACT This paper provides a methodology for esti-mating the proton and heavy ion static saturation crosssections for multi-bit upsets (MBUs) in Xilinx fieldprogrammable gate arrays (FPGAs) and describes a methodology for determining MBUs' effects on triplemodular 

Xilinx next generation 28 nm FPGA technology overview
free download

Escalating power consumption is a global concern driven by the prevalence of systems packed with multiple integrated circuits (ICs). In addition to environmental concerns, power consumption increases the cost of building and operating systems. Removing excess heat 

Spectrum sensing on LTE femtocells for GSM spectrum re-farming using Xilinx FPGAs
free download

ABSTRACT Femtocells are a promising solution to provide high coverage and high data rates inside consumer's homes while cutting operator costs significantly. Next generation Long Term Evolution (LTE) femtocells are likely to be deployed in GSM spectrum, 

MicroBlaze Tutorial Creating a Simple Embedded System and Adding Custom Peripherals Using Xilinx EDK Software Tools
free download

This tutorial guides you through the process of using Xilinx Embedded Development Kit (EDK) software tools, in which this tutorial will use the Xilinx Platform Studio (XPS) tool to create a simple processor system and the process of adding a custom OPB peripheral (an 

Xilinx FPGA blasted into orbit
free download

According to Anwar Dawood, CRCSS principal research scientist and program leader,Traditional fixed computer hardware is designed to perform a diverse range of functions. This results in an efficient processing for some tasks and a slow processing for 

Initial single-event effects testing and mitigation in the xilinx virtex ii-pro FPGA
free download

ABSTRACT A consortium of industry and government partners has begun single-event effects testing on the Xilinx Virtex II-Pro FPGA for consideration in space applications. An initial static characterization of the FPGA fabric and some dynamic modes using input/output 

OpenSPARC T1 on Xilinx FPGAs-Updates
free download

Page 1. OpenSPARC T1 on Xilinx FPGAs – Updates Durgam Vahia Paul Hartke Recap – Big Goals • Proliferation of OpenSPARC Technology • Proliferation of Xilinx FPGA TechnologyMake OpenSPARC FPGA-Friendly 

SVF and XSVF file formats for Xilinx devices
free download

Summary This application note provides users with a general understanding of the SVF and XSVF file formats as they apply to Xilinx devices. Some familiarity with IEEE STD 1149.1 (JTAG) is assumed. For information on using Serial Vector Format (SVF) and Xilinx Serial 

Xilinx/Micron Partner to Provide High-Speed Memory Interfaces
free download

Micron's RLDRAM II and DDR/DDR2 memory combines performance-critical features to provide both flexibility and simplicity for Virtex-4-supported applications. on-die source termination resistor eliminates the need to place termination resistors on the board–saving 

Development of a Design Flow and Implementation of Example Designs for the XilinxXC6200 FPGA Series
free download

Ich versichere hiermit, da ich die vorliegende Diplomarbeit  Development of a Design Flow and Implementation of Example Designs for the Xilinx XC6200 FPGA Series  1.1 Description of the MoM-PDA ProjectGoals of this Thesis

Implementing a Forth engine microcontroller on a Xilinx FPGA
free download

In a junior-level course in computer hardware design it is useful to have students design a real microprocessor and implement it in an FPGA. Most real microprocessors can be categorized as having either a complex instruction set computer (CISC) architecture or a 

Module based implementation of Partial Reconfiguration using VHDL on Xilinx FPGA
free download

ABSTRACT Reconfigurable computing is an emerging field in this modern world of computer and electronics engineering, which allows the system hardware to be changed periodically in order to execute different applications on the same hardware. Partial reconfiguration is 

Security video analytics on Xilinx Spartan-3A DSP
free download



Powering Xilinx FPGAs
free download

Summary Power consumption in Xilinx FPGAs depends upon the number of internal logic transitions and is proportional to the operating clock frequency. As device size increases, so does power consumption. It is common for a large, high-speed design to require several 

Efficient Design and FPGA Implementation of Digital Controller Using Xilinx SysGen
free download

ABSTRACT The paper explains a method for the design and implementation of digital controller based on Field Programmable Gate Array (FPGA) device. It is more compact, power efficient and provides high speed capabilities as compared to software based PID controllers. The 

Woolcano: An Architecture And Tool Flow For Dynamic Instruction Set Extension On XilinxVirtex-4 FX.
free download

ABSTRACT In this paper, we introduce the Woolcano reconfigurable processor architecture. The architecture is based on the Xilinx Virtex-4 FX FPGA and leverages the Auxiliary Processing Unit (APU) as well as the partial reconfiguration capabilities to provide 

Put Hardware in the loop with Xilinx System Generator for DSP
free download

Co-simulating with hardware in the loop gives you faster simulations and eases hardware verification. System Generator for DSP Version 3.1 now lets you include FPGA hardware in Simulink simulations. compilation block from the XtremeDSP™ development kit library, for 

Bus Master Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions
free download

Summary This application note discusses how to design and implement a Bus Master design using Xilinx Endpoint PCI Express solutions. A performance demonstration reference design using Bus Mastering is included with this application note. The reference design can be 

Using Xilinx XCF02S/XCF04S JTAG PROMs for Data Storage Applications
free download

Summary This application note describes a method for combining FPGA configuration data and generalpurpose user data into a Xilinx XCF02S (2 Mbit) or XCF04S (4 Mbit) configuration PROM. Using a software utility to format an FPGA configuration data file and 

Partial Reconfiguration of Xilinx FPGAs Using ISE Design Suite
free download

Historically, designers have spent days, if not weeks, trying new implementation switches, reworking code, and re-engineering solutions to squeeze them into the smallest possible FPGA. Partial reconfiguration enables these designers to reduce the size of their designs 

Connecting Xilinx FPGAs to Texas Instruments ADS527x Series ADCs
free download

Summary This application note describes how to connect a high-speed Texas Instruments (TI) ADS5273 analog-to-digital converter (ADC) with serialized LVDS output to a Virtex™-II or Virtex-II Pro FPGA. Lower speed ADC devices from this family can be connected to 

Realization of real-time control flow oriented automotive applications on a soft-core multiprocessor system based on Xilinx Virtex-II FPGAs
free download

ABSTRACT Due to the increased complexity of automotive electronic systems, novel solutions must be brought up to decrease the amount of discrete microcontrollers and thereby the costs of developing electronic systems for automotive applications. FPGAs 

Xilinx XtremeDSP initiative meets the demand for extreme performance and flexibility
free download

With the new Xilinx XtremeDSP™ Initiative, you now have flexible DSP solutions that you can optimize for numerous applications. Furthermore, under the initiative, you gain a wide range of integrated development tools that offer an added advantage when developing 

ACCELERATION AND IMPLEMENTION OF A DSP PHASE-BASED FREQUENCY ESTIMATION ALGORITHM: MATLAB/SIMULINK TO FPGA VIA XILINX 
free download

ABSTRACT This paper utilizes a phase-based frequency estimation algorithm as a research vehicle to explore a new and improved software tool and design flow for the implementation of Matlab DSP algorithms on Xilinx FPGA's. The software tool, called System Generator for 

Meeting DO-254 and ED-80 guidelines when using Xilinx FPGAs
free download

© 2009 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners.  RTCA DO-254 [Ref 1] and its counterpart in 

System ACE Configuration Solution for Xilinx FPGAs
free download

Until recently, PLDs had been used primarily as glue logic tying various system functions together and acting as programmable high-speed interface logic. In these instances, FPGA usage was usually limited to one or two devices per system. Now, however, the new 

FPGA implementation of induction motor vector control using Xilinx System Generator
free download

ABSTRACT Mechatronic systems are complex interdisciplinary products where many parts are present that overlap each other; electronic control board, electromechanical machines, and power drives. With FPGAs, their control can run faster as multiple operations are executed 

Xilinx Redefines Power, Performance, and Design Productivity with Three New 28 nm FPGA Families: Virtex-7, Kintex-7, and Artix-7 Devices
free download

The steady migration of FPGA families to new process nodes every two years or so has produced enormous improvements in performance, capacity, and power. In 2008, Xilinx introduced Virtex-6 FPGAs, which offered 11.13 Gb/s transceivers, supporting over 1 Tb/s 

Xilinx next generation 28nm fpga technology overview
free download

Escalating power consumption is a global concern driven by the prevalence of systems packed with multiple integrated circuits (ICs). In addition to environmental concerns, power consumption increases the cost of building and operating systems. Removing excess heat 

Configuring Xilinx FPGAs Using an XC9500 CPLD and Parallel PROM
free download

Summary All Xilinx FPGA families can be configured through a serial interface. This application note describes a simple, low cost design to configure any Xilinx FPGA in a serial configuration mode using a Xilinx XC9500 CPLD and any parallel PROM.

 Xilinx FPGA
free download



OpenSPARC T1 on Xilinx FPGAs–Updates
free download

Page 1. OpenSPARC T1 on Xilinx FPGAs – Updates Thomas Thatcher Paul Hartke 5 Sun/Xilinx Partnership: Big Goals • Proliferation of OpenSPARC technology • Proliferation of Xilinx FPGA technology 

Xilinx at Work in Set-Top Boxes
free download

Summary This White Paper gives an overview of different set-top box technologies and how Xilinx high volume programmable devices can be used to implement complex system level glue in a variety of set-top box designs. It concentrates on set-top box technology used to 

Thinking outside the flow: Creating customized backend tools for Xilinx based designs
free download

ABSTRACT This paper is intended to serve as an introduction to how to build a customized backend tool for a Xilinx based design flow. A Python based library called PyXDL is presented which allows a user to manipulate XDL files which contain a placed and routed 

TTC Interface Module for ATLAS Read-Out Electronics: Final production version based onXilinx FPGA devices
free download

ABSTRACT The functionality and the details of the upgraded firmware and hardware of the Xilinx FPGA-based production version of the ATLAS-SCT TTC Interface Module (TIM) are described. The TIM interfaces ATLAS SCT, Pixel, MDT and CSC Read-Out Drivers to the 

Lowering Power at 28 nm with Xilinx 7 Series FPGAs
free download

Power consumption in FPGAs has become a primary factor for FPGA selection. Whether the concern is absolute power consumption, usable performance, battery life, thermal challenges, or reliability, power consumption is at the center of it all. Xilinx has been 

Evolvable Hardware in Xilinx Spartan3–FPGA
free download

ABSTRACT Evolvable Hardware is a hardware which modifies its own structure in order to adapt to the environment in which it is embedded. This reconfigurable hardware is implemented on a programmable circuit, whose architecture can be altered by 

Implementation of a high throughput variable decimation pane filter using the Xilinx system generator
free download

ABSTRACT The Xilinx System Generator for Simulink provides DSP designers with a unique new approach to implementing DSP algorithms in FPGA hardware. Using the System Generator, a MAC-based variable decimation FIR filter, commonly known as a pane filter, 

Single-Event Upset Mitigation Design Flow for Xilinx FPGA PowerPC Systems
free download

Summary Orbital, space-based, and extra-terrestrial applications are susceptible to the effects of high energy charged particles. Single-event upsets (SEUs) can alter the logic state of any static memory element (latch, flip-flop, or RAM cell) including the components of an 

modelsim research papers








SystemVerilog, modelSim, and you
free download

Page 1. 1 SystemVerilog, ModelSim, and You  ABSTRACT modeling enhancements to Verilog ? Verification enhancements to Verilog ? ModelSim support for SystemVerilog ? Suggestions on adopting SystemVerilog ? Conclusions Page 2. 2 

Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering
free download

Page 1. Mentor Graphics Users' Group, October 2003 Using ModelSim and Matlab/Simulink for System Simulation in Automotive Engineering Dipl.-Ing.  Mentor Graphics Users' Group, October 2003 VHDL-Design Controller Simulation results in ModelSim Speed Gear Throttle 

Practical Hardware Debugging: Quick Notes On How to Simulate Altera's Nios II Multiprocessor Systems Using Mentor Graphics' ModelSim
free download

1.ABSTRACT As memory and logic in today's FPGAs has increased, multiprocessor soft cores have become a reality. Open source software tools and hardware script engines allow engineers to quickly develop software and hardware. However, debugging a 

CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK ANDMODELSIM
free download

ABSTRACT In this paper we discuss about the co-simulation of generic converter using MATLAB and ModelSim. Generic converter is used to provide fixed DC to variable DC conversion as well as DC/AC conversion according to their switching pattern algorithm. 

Simulation with ModelSim-Altera from Quartus II
free download

QS Guide ,moodlearchives.epfl.ch --need to be adapted depending on address bus size and data size--Access by Avalon bus-- FPGA for simulation procedure WrBus (sAdresse: IN STD_LOGIC_VECTOR (31 downto provide the address to read the data sData: IN STD_LOGIC_VECTOR (31 downto 0);-- 

SIMULINK/MODELSIM CO-SIMULATION AND FPGA REALIZATION OF SPWM CONTROLLER FOR THREE PHASE MULTILEVEL INVERTER
free download

AM CK, D VIJAY ABSTRACT In this project a design of application-based adaptable level three-phase diode clamped multilevel voltage source inverter is proposed. The inverter is designed in a fussy manner, that different levels of the inverter can be designed and simulated in a single 

Design and Verification of a Software Defined radio platform using Modelsim and Altera FPGA.
free download

B Sharma, P Nagaraju, K Vaidyanathan ABSTRACT The Altera Cyclone family of FPGA provides the ability to perform run time reconfiguration which is known as Dynamic Reconfiguration. Current technology allows designers to implement complete embedded computing systems on a single FPGA. Using 

-SOFTWARE SALES SERVICE-https://www.engpaper.net--